您现在的位置: 华玉生活网 >> 技术文库 >> 无线 >> 正文>> 繁体中文

FPGA系统内部逻辑在线测试技术研究

1引言随着FPGA向低成本、低功耗、高性能方向发展,其I/O引脚大多采用微间距TOFP或BGA封装工艺,因而使引出多种内部信号的I/O引脚以及FPGA的验证工作变得非常困难,同时FPGA的验证和调试耗时占总开发时间的50%以上。在验证和调试系统时,传统上是把信号线引到I/O引脚,然后采用示波器、逻辑分析仪或总线分析仪进行测量和分析。由于这些设备相当昂贵,而且调试时又需要许多连线夹,因此一不小心就会烧坏器件或电路板。伴随着EDA

1 引言

随着FPGA向低成本、低功耗、高性能方向发展,其I/O引脚大多采用微间距TOFP或BGA封装工艺,因而使引出多种内部信号的I/O引脚以及FPGA的验证工作变得非常困难,同时FPGA的验证和调试耗时占总开发时间的50%以上。

在验证和调试系统时,传统上是把信号线引到I/O引脚,然后采用示波器、逻辑分析仪或总线分析仪进行测量和分析。由于这些设备相当昂贵,而且调试时又需要许多连线夹,因此一不小心就会烧坏器件或电路板。

伴随着EDA 工具的快速发展,Altera公司在QuartusⅡ软件中开发出一种嵌入式调试工具SignalTapⅡ,它是基于逻辑分析核的嵌入式逻辑分析仪,不仅具备普通逻辑分析仪的触发、数据采集和存储功能,还可访问FPGA器件内部的所有信号和节点,除Altera公司开发的这种嵌入式逻辑分析仪外,其他主流FPGA公司,如 Xilinx、Lattice、Actel等也有相似技术,其中最具代表性的是Xilinx公司的ChipScope Pro工具,它是专为Xilinx FPGA系统内的凋试而设计的。Chip-Scope Pro核心集成在FPGA中,支持所有XiLinx FPGA系列,并通过标准的JTAG端口提供实时的调试和验证能力。

2 SignalTap II原理

SignalTap II嵌入逻辑分析仪是专门用于Quartus II设计软件中的第二代系统级调试工具。对于嵌人式逻辑分析仪内核(知识产权IP核)插入FPGA的设计,同时提供触发功能和存储功能。在FPGA运行过程中,满足触发条件时SignalTaPⅡ将启动采样并储存数据,采样数据不断刷新片内存储器内容。SignalTapⅡ通过下载线ByteBlasterⅡ,将捕获到的信号数据从器件的RAM资源载至QuartusⅡ开发环境,以实时显示波形。这样就能使开发者在整个设计过程中,以系统级的速度来观察硬件和软件的交互作用。

目前,SignalTapⅡ逻辑分析仪可以支持的器件系列有:Cyclone、CycloneⅡ、Cyclone Ⅲ、APEXTⅡ、APEX 20KE、APEX20KC、APEX20K、Excalibur、Mercury、Stratix GX、Stratix、StratixⅡ、Stratix Ⅲ等。

3 SignalTapⅡ使用方法

使用SignalTapⅡ的一般程序是:设计人员在完成设计并编译工程后,建立SignalTap Ⅱ(.stp)文件,并加入工程、配置STP文件、编译并下载没计到FPGA、在Quartus Ⅱ软件中显示被测信号的仿真波形。

设置SignalTapⅡ文件的基本流程如下:

(1)设置采样时钟。决定了显示信号波形的分辨率,根据Altera公司的建议最好使用全局时钟,而非门控时钟,否则会使采样时钟处于不能准确反映设计数据的状态。

(2)配置采样深度,确定RAM的大小。用户可指定要观测数据的采样点数,即数据存储深度。

(3)设置buffer acquisition mode。包括循环采样存储和连续采样存储两种模式。图1给出STP参数配置过程。


  • 扩展阅读
  • 上一个文章:
  • 【返回网站首页】 【返回无线】
  • 下一个文章:
  • 【字体: 】【】【发表评论】【加入收藏】【告诉好友】【打印此文
    文章 软件 电影 商品

    相关文章

    网友评论:(只显示最新10条。评论内容只代表网友观点,与本站立场无关!)

    | 服务声明 | 充值中心| 华安五金电器 | 收费标准| 论坛| 留言| 实用查询| 会员中心| 下载帮助| 设为首页|

    技术支持:瑞达科技 即时交谈QQ:237013889 QQ群:13810759 E-Mail:237013889@qq.com
    非盈利网站,如有侵权,请来信来电告知,第一时间处理,谢谢!
    桂ICP备17008104号 华玉生活网网站统计
    tj